我是VHDL的初學者,我有一個基本問題。VHDL /如何初始化我的信號?
讓我們考慮這個下面輸入:
A : in std_logic_vector(22 downto 0);
而這個信號:
signal dummyA : std_logic_vector(47 downto 0);
我想用一個如此我做了什麼來初始化dummyA是:
dummyA <= A;
這是正確嗎?我的意思是相當於:
dummyA <= "0000000000000000000000000" & A;
?或者我應該像這樣明確地添加0。
是'dummyA <=(A'RANGE => A,OTHERS =>'0');'相當於'dummyA <=「0000000000000000000000000」& A;'? – user2336315
@ user2336315:它應該是,但是你不必跟蹤多少個額外的零。 –
如果A的範圍優於dummyA的範圍,它是否會截斷A的數據? – user2336315