fpga

    -1熱度

    1回答

    ,我使用將返回16位字,並將其轉換爲實際值我需要使用一個表達的傳感器, 表達是((175.72*16b_word)/65536)-46.85. 我可以除以16位右移? 我已經搜索了幾個小時,現在仍然不知道如何處理十進制表示!有沒有人有一個如何解決它的例子?

    0熱度

    1回答

    我有一個具有不同時鐘輸出的DCM,在真正的FPGA實現中工作正常,但在Xilinx ISIM中模擬此DCM時看不到任何輸入。我們可以在ISIM測試平臺中模擬DCM嗎?有什麼竅門嗎? Regards

    0熱度

    1回答

    我試圖連接到PYNQ-Z1。我已經按照文檔中提到的精確跟蹤了每一步,但仍然在嘗試通過192.168.2.99:9090訪問瀏覽器時,它告訴我該頁面無法正常工作。 我已經使用直接連接連接了電路板。 我使用一個mac,所以我用dd命令在SD卡上寫了圖像。 DONE指示燈亮起。網絡華麗的藍色燈光也能夠看到四個綠燈亮起。我能夠進入董事會,這意味着網絡是成功的,暫時我能夠做我的工作,但它仍然困擾着我,爲什麼

    -1熱度

    1回答

    所以,我創建了VHDL中組件的分層設計。目前的頂級實體如下。 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --This component takes 2 numbers written in scientific notation and returns the same numbers wit

    0熱度

    1回答

    我在這裏有一個Linux 4.4(我曾經工作在一個較舊的內核上,它以相同的方式失敗)與一個PCIe連接的FPGA設備和驅動程序,它們都是我自己設計的。這些在正常情況下運行良好,但現在我嘗試使它們在熱插拔條件下工作。這不是實際的硬件熱插拔,我一直在嘗試的是設備的sysfs目錄中的通常的echo 1 >remove以及之後的echo 1 >/sys/bus/pci/rescan。 設備再次出現後,我的

    0熱度

    1回答

    我有一個DE10-Nano Cyclone V開發板帶有Terasic的1 GB外部DDR3 RAM,我想實現一個驅動程序,它可以管理Linux在ARM Cortex-A9處理器和Cyclone V的FPGA架構上。 使用dma_alloc_coherent我分配了一定數量的內存並將硬件地址寫入到我編程的FPGA模塊中。 然後我開始通過SDRAM AXI接口寫入一個任意數字到給定的地址,但顯然SD

    0熱度

    1回答

    我有CMOS相機捕捉圖像,我想在VGA顯示器上顯示捕捉的圖像。我正在從CMOS攝像機接收格式爲RGB 565的數據,如RRRGGGBBB。我有VGA接口RGB(3)pins的FPGA板我們是否將RGB 565轉換爲單個位RGB?

    0熱度

    1回答

    parameter tDDKHMH = (tDQBit < 580) ? 150 : (tDQBit < 688) ? 150 : (tDQBit < 791) ? 245; `ifdef USE_EXACT_VALUES parameter tCISKEW = (tDQBit < 580) ? 93 : (tDQBit < 688) ? 112 : (tDQBit < 791) ?

    1熱度

    1回答

    我已經創建了一個設計並希望編譯設計以便爲CPLD創建二進制文件。但是,當我嘗試編譯設計時,它會輸出一條警告,說明未滿足時序要求。現在看來似乎抱怨以下VHDL部件,其中所述外部時鐘被劃分成在該設計中使用由其它VHDL組分的較低的時鐘頻率: entity clk_divider is generic (COUNTER_MAX : integer := 256000); port(

    0熱度

    1回答

    我試圖實現一個計數模塊。我的基本設置: FPGA(帶有Xilinx Artix-35T的Digilent Arty)帶有連接到信號發生器的IO端口的兩條BNC電纜,並通過USB/UART連接到PC以讀出數據。我的信號發生器產生1Hz的TTL信號。 我現在想要計算通道1中,通道2中的事件數量以及通道1和2的一致性。儘管基本原理起作用,但我將通道1和2分離,即使它們具有相同的輸入(通過BNC-T連接器