2017-06-15 51 views
1

什麼時候PHP變量賦值返回false?在PHP中,什麼時候變量賦值返回false?

this answer下面的代碼的建議

while (($lastPos = strpos($html, $needle, $lastPos))!== false) { 
    $positions[] = $lastPos; 
    $lastPos = $lastPos + strlen($needle); 
} 

... while循環將在分配結束...

$lastPos = strpos($html, $needle, $lastPos) 

...返回false。

這個任務何時會返回false,爲什麼?

謝謝

回答

2

變量賦值返回分配給變量的值。因此,當strpos調用返回false(當找不到$needle時),分配和循環也將終止。

相關問題