我有一個UVM項目。我在我的test_base下面的代碼:函數的一般類型輸入
class test_base extends uvm_test;
//factory registration
`uvm_component_utils(test_base)
//internal decleration
girobo2_env grb_env_i;
//configuration objects:
grb2_env_config m_env_cfg;
axi_agent_config m_axi_agent_cfg;
.........
//build_phase
//Create axi_agent agent configuration object
m_axi_agent_cfg = axi_agent_config::type_id::create("m_axi_agent_cfg");
if(!uvm_config_db #(virtual axi_interface)::get(this, "", "axi_vif", m_axi_agent_cfg.axi_vif)
`uvm_error("RESOURCE_ERROR", "axi_interface virtual interface not found")
m_env_cfg.m_axi_agent_cfg = m_axi_agent_cfg;
// Call function to configure the axi agent
configure_axi_agent(m_axi_agent_cfg);
//--------------------------------------------------------------------
// configure_my_agent
//--------------------------------------------------------------------
// Convenience function to configure the agent
// This can be overloaded by extensions to this base class
virtual function void configure_axi_agent (axi_agent_config cfg);
cfg.is_active = UVM_PASSIVE;
endfunction: configure_my_agent
endclass: test_base
有定義configure_my_agent
功能一般的(如模板C++爲例)輸入的類型的選項。
@ noobuntu-我寫的中試基地這個功能.... – sara8d
你怎麼如果「CGF」使用,如果還沒有確定? – sara8d
我改變了if中的cfg到base_cfg。我收到以下錯誤:#** Error(suppressible):.. \ sv \ test_base.sv(109):(vlog-7027)在當前範圍中找不到名稱('cfg') 。請驗證名稱'cf g'的拼寫。 – sara8d