0
A
回答
0
一種來,以這種方式是使用UVM內置sprint()
和指導所有的輸出到文件中。
下面是如何做到這一點的例子,假設你的寄存器測試級別上實現:
class my_test extends uvm_test;
my_reg_block my_rm;
UVM_FILE my_file;
//...
function new(string name="", uvm_component parent=null);
my_file = $fopen("register_initial.txt", "w");
set_report_id_file("MY_REG", my_file);
set_report_id_action("MY_REG", UVM_LOW | UVM_DISPLAY);
endfunction
// create your register
function void print_register();
`uvm_info("MY_REG", $sformatf("%s", my_rm.sprint()), UVM_LOW)
endfunction
endclass
以上print_register()
功能會寫的my_rm.sprint()
輸出到文件「register_initial.txt」。
相關問題
- 1. 登錄後正確初始化會話
- 2. 登錄Spring初始化
- 3. Azure CLI初始化說無效登錄?
- 4. 初始化,連接和登錄時的初始屏幕
- 5. 文件加載後初始化onsenui
- 6. 如何在android google登錄後初始化firebase?
- 7. 如何在初始登錄後自動登錄用戶?
- 8. 初始化錄音
- 9. 初始登錄到rails_admin
- 10. ios 5 twitter初始登錄
- 11. YAP初始化文件?
- 12. SBCL初始化文件
- 13. 初始化包含文件
- 14. 忽略目錄,子目錄和文件後,那些被初始化
- 15. 加載文檔後初始化ng-app
- 16. 初始化後添加backbone.js事件
- 17. 組件:後期數據初始化?
- 18. jquery插件重新初始化後ajax
- 19. 未登錄時初始化Direct3d - 或者 - 自動執行Windows登錄
- 20. Origen ::在$ dut重新初始化時獲取擦除的參數集
- 21. 初始化後的UIViewController dealloc
- 22. 初始化後更新jqTree?
- 23. NSMutableArray初始化後未知
- 24. 初始化單後裔
- 25. 休眠後初始化
- 26. 初始化bean初始化後注入附加值
- 27. 初始化後可以初始化靜態變量嗎?
- 28. 變量初始化並聲明後可能尚未初始化
- 29. JavaScript:初始化對象後初始化內部變量
- 30. Guice在初始化對象後調用初始化方法