我很困惑與用於與工廠登記UVM測試以下SystemVerilog的構造:的SystemVerilog:註冊UVM測試與工廠
class random_test extends uvm_test;
`uvm_component_utils(random_test);
...
function new (...
在這裏,我們有一流的random_test的定義,以及內部定義我們調用一個方法,而它的參數是正在定義的類。 因此,這裏是我的問題:
- 是
`uvm_component_utils
在0時被調用的任何對象,構建了random_test類偶數過嗎? - 如何在類定義中將類傳遞給
`uvm_component_utils
?
謝謝。