2017-07-19 67 views
1

如何使用generate語句在SystemVerilog中生成很多關聯數組?生成關聯數組SystemVerilog

e.x.

這裏是一個關聯數組聲明

logic [8-1:0] memory [*]; 

如何生成他們的10,而無需編寫這些手冊?

回答

1

您不使用生成語句。你可以有陣列陣列

logic [8-1:0] memory [10][int]; 

P.S.我強烈建議您不要使用[*]作爲索引類型,而是使用[int]或其他現有數據類型。 [*]是爲了與舊語言向後兼容並使用它而阻止您訪問SystemVerilog的全部功能。

+0

否[*]?我沒有意識到這一點。爲什麼? –

+1

感謝戴夫,你是我的上師^。^ –

+2

@MatthewTaylor,當使用通配符索引時,不能使用foreach或其他需要索引類型的數組方法(first,last,find_index等)。請參閱https://verificationacademy.com/forums/systemverilog/associative-array#reply-56713 –