2011-10-24 45 views
0

我有我的VHDL代碼中的2D矩陣類型,所有元素都是「真實」類型。如何在VHDL中將實際數據類型寫入文件?

事情是這樣的:

type type1 is array (1 to 50,1 to 50) of real; 

現在我想這整個矩陣寫入一個文本file.Each行應該用逗號我怎樣才能做到這一點分開行寫?

我看着textio軟件包,但不知道如何將它們用於真正的數據類型。

這是否有任何自定義軟件包?

回答

1

開始使用TEXTIO

use textio.all; 

幾個有用的變量:

variable s : line; 
    file output : text; 

主要的代碼是這樣的:

for y in image1'range(2) loop 
     for x in image1'range(1) loop 
     write(s,real'image(image1(x,y)); 
     end loop; 
     writeline(output,s); 
    end loop; 

我將離開你加入您的逗號分隔符以及您需要的任何頁眉/頁腳。

+0

非常感謝..你的想法一如既往地像魅力一樣工作.. :) – vipin

1

您是否檢查real'image(value)以獲取真實值的字符串表示?

+0

假設 signal image1:type1; 那麼你可以給我看一個簡單的例子,使用上述屬性? – vipin

+0

查看本文[VHDL textio](http://www.gmvhdl.com/textio.htm)教程。 – mouviciel