2011-04-24 160 views
1

我在試圖模擬一個測試臺。我沒有收到波形,在提示符下我收到以下警告消息。是否因爲=是警告,我的代碼沒有模擬?提示時出現警告消息

** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf 
#   File in use by: Hostname: ProcessID: 0 
#   Attempting to use alternate WLF file "./wlftazxa4k". 
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf 
#   Using alternate file: ./wlftazxa4k 
run 

我也includng我的測試平臺如下:

module dec_tb; 
    reg [63:0] FROM_IF_ID; 
    reg CLK; 
    wire [117:0] TO_ID_HMIC; 
    integer k=0; 
    inst_decode id(.from_if_id(FROM_IF_ID),.clk(CLK),.to_id_hmic(TO_ID_HMIC)); 

    initial 
    begin 
     $monitor($time,"clk=%b, fifid=%b, tidhm=%b",CLK,FROM_IF_ID,TO_ID_HMIC); 
     $display("qf"); 
     CLK= 0; 
     FROM_IF_ID[35:32]=4'b1100; 
     FROM_IF_ID[63:36]=28'b0000_10000_00100_01000_00010_0001; 
    end 

    always 
    begin 
    #10 CLK= ~CLK; 
    end 
    always @(posedge CLK) 
     begin 
     $display (" TO_ID_HMIC= %b", TO_ID_HMIC); 
     FROM_IF_ID[k] =~FROM_IF_ID[k]; 
     k=k+1; 
     #500 $finish; 
     end 
    endmodule 

回答

3

如果這是唯一的消息,則模擬運行,你可以在指定的備用文件(wlftazxa4k)浪。

如果你想這樣的波vsim.wlf顯示來解決這個問題,這裏有一些事情要嘗試:

  • 確保你沒有運行
  • 任何雜散的ModelSim過程確保你沒有vsim.wlf開放的波形觀衆
  • 手動刪除vsim.wlf並重新運行
+0

刪除vsim.wlf手動解決了這個問題。 – Ehsan 2016-11-21 18:58:49

0

我也有這個問題。事實證明,我的vsim文件所在的目錄已滿(學校分區,允許的空間爲600mb)。在清理了一些我曾經躺過的舊文件後,程序運行良好。

0

你對你的測驗:

  1. 運行你的模擬
  2. 與GUI或命令退出你的模擬:quit -sim
  3. 轉到步驟1和樂趣。

您可以刪除由modelsim創建的緩存文件。