我爲我的模塊添加了一個時鐘發生器,現在仿真從未結束。爲什麼我的模擬時鐘永遠不會完成?
always #10 clk = ~clk;
爲什麼所有initial
代碼完成後沒有SIM卡無法完成?
module test;
reg clk;
initial begin
clk = 0;
$display("Hello");
end
always #10 clk = ~clk;
endmodule
在EDA遊樂場辛結果:http://www.edaplayground.com/s/4/15
那邊的漂亮網站。 – e19293001
'$ stop'語句可能很有用 – Qiu
@Qui,'$ stop'語句不會結束sim,但暫停它。從標準:_ $ stop系統任務導致模擬暫停._以下是[EDA Playground](http://www.edaplayground.com/s/4/18)上的測試。也就是說,像VeriWell這樣的一些模擬器會在調用'$ stop'時完成模擬。 –