2012-11-27 22 views
0

我正在嘗試爲部分產品生成器編寫VHDL代碼。代碼如下:我的部分產品生成器有什麼問題

library IEEE; 
use IEEE.std_logic_1164.all; 
use IEEE.std_logic_signed.all; 
use ieee.numeric_std.all; 
entity boothencoder_ppg is 
port(Y: in std_logic_vector(53 downto 1); 
    X: in std_logic_vector(53 downto 1); 
    PPG: out std_logic_vector(53 downto 1) 
    ); 
end boothencoder_ppg; 
architecture behavioral of boothencoder_ppg is 
signal U, SFT, W, M, A: std_logic; 
    begin 
    for m in 1 to 53 loop 
    U = Y(m+1) xnor Y(m); 
    SFT = Y(m-1) xnor Y(m); 
    W = U and SFT; 
    M = SFT? X(m-1) : X(m); 
    A = M xor Y(m+1); 
    PPG = A nor W; 
    end loop; 
end behavioral; 

我在循環中的所有行中都收到錯誤。也許我錯誤地實現了循環?任何幫助都會很棒。

謝謝。

a <= b; 

另外,你需要或者來包裝你在processloop或使用for...generate結構,而不是for...loop這是一個連續的語句:

+1

您不需要該代碼的std_logic_signed或numeric_std。你應該*永遠不需要兩者都需要。 –

回答

1

在VHDL的信號賦值運算符作爲寫道。

相關問題