2011-12-10 35 views
5

我試圖從文件中將值加載到像這樣的二維數組中。Verilog中readmemh的替代方案

reg [31:0] RAM[63:0]; 
initial 
     $readmemh("memory.dat",RAM); 

有什麼替代方案?如果我想要對值進行硬編碼,那麼代碼是什麼?

回答

5

如果你想硬編碼值,只是分配給每個存儲單元:

initial begin 
    RAM[0] = 32'h1234_5678; 
    RAM[1] = 32'h9abc_def0; 
    RAM[2] = 32'haaaa_5555; 
    // etc. 
end 

$readmemh另一種方法是使用文件IO系統任務,如$fopen$fscanf(參考IEEE標準或您的模擬器文檔)。