2017-05-16 38 views
1

我試圖運行最初配置爲2014.4對2016.4版本Vivado的.tcl文件「TCL」文件。但是我收到以下錯誤:無法運行Vivado 2016.4版本

 
    while executing 
"create_bd_cell -type ip -vlnv xilinx.com:ip:mig mig_0 " 
    (procedure "create_root_design" line 111) 
    invoked from within 
"create_root_design """ 
    (file "all.tcl" line 405) 

的TCL文件使用部分「xcku040-ffva1156-2」和試圖訪問IP「MIG」我相信這是改名/改變了更高版本。有沒有解決方法?

步驟我已經做至今:

  1. 改變了版本號2016.4
  2. 試圖更換目標板。
  3. 在同一塊主板上嘗試使用備用的mig for IP。
  4. 試圖在兩者2016.4和2015.4版本到目前爲止

這些都沒有附加工作,以供參考「.tcl」文件:與Vivado的每一個版本升級all.tcl

+0

這很奇怪;你有堆棧跟蹤,但不是實際的錯誤消息部分。錯誤消息(來自'create_bd_cell')是否爲空?如果可能的話,Tcl本身不會生成空的錯誤信息,因爲它們令人難以置信的混淆,但是'create_bd_cell'不像Tcl那樣(可能是)Vivado ... –

+0

是的,我聯繫了賽靈思對Vivado的支持。似乎他們已經在新版本上停止/重命名mig(Memory Interface Generator)。因此,您必須在2014版本上運行此腳本,生成設計,然後使用2015版本打開相同的設計。 2015版本將自動建議升級至停用/重命名的IP。重複相同的步驟以達到2016版。這是完成這件事的唯一方法。還必須在Vivado自動升級後繼續檢查IP的核心功能是否相同。 –

回答

1

由於Design Suite中,部件被重新命名或刪除,不可能在較新的版本中運行早期版本的.tcl文件。因此,我在Xilinx社區論壇上運行了上述查詢,並找到了一種解決方法:

在先前版本(本例中爲2014)上運行此腳本,生成設計,然後使用下一個主要版本(2015版本)。 2015版本將自動建議升級至停用/重命名的IP。重複相同的步驟以達到2016版。這是完成這件事的唯一方法。還必須在Vivado Design Suite自動升級後繼續檢查IP的核心功能是否相同。

相關問題