我有一個大問題,因爲我沒有正確理解如何使我的功課。 嗯,我必須做這樣的事情:
http://tomaszewicz.zpt.tele.pw.edu.pl/files/u1/zad4.gif
我有代碼創建b1但我不知道如何創建第二個,並使他們連接到b3。創建兩個元素連接到一個多路複用器41和21
我的代碼是:
library ieee; use ieee.std_logic_1164.all; entity test is generic( n : integer := 4 ); port( a, b, c, d : in std_logic_vector(n-1 downto 0); s : in std_logic_vector(1 downto 0); y : out std_logic_vector(n-1 downto 0) ); end test; -- przypisanie sekwencyjne - case architecture arch_mux5 of test is begin pr_case: process(a,b,c,d,s) begin case s is when "00" => y <= a; when "01" => y <= b; when "10" => y <= c; when others => y <= d; end case; end process; end arch_mux5; architecture arch_mux6 of test is begin pr_if: process(a,b,c,d,s) begin y <= (others => '0'); -- latch jesli zakomentujemy, dlaczego? if s = "00" then y <= a; end if; if s = "01" then y <= b; end if; if s = "10" then y <= c; end if; if s = "11" then y <= d; end if; end process; end arch_mux6; configuration cfg of test is for arch_mux5 end for; end cfg;
MUX5及MUX6似乎是相同的,但在不同的寫入方法。
謝謝:)我會嘗試 – deadfish 2011-04-19 07:04:10