2015-10-13 43 views
1

VCD文件中,我想指定某個事件發生在特定時間。爲此,我試圖定義一個單一位信號,其值幾乎是時間0,並且在同一時間(在發生我的事件時)將此值切換爲0,然後返回到1。不幸的是,當用gtkwave查看文件時,沒有顯示任何內容。我怎麼能實現這種行爲?如何在VCD文件中指定註釋?

+1

如果您在沒有超前時間的情況下翻轉信號,這甚至會寫入VCD嗎?我希望信號是0或1或x,但不能看到它是1和返回。 – dave

+0

@dave我自己生成一個VCD文件,所以是的,我可以在同一時間打開和關閉它。此外,根據我的理解,VCD文件必須是時間有序的,你確認嗎? –

+0

我仍然不希望它出現在gtkwave中:VCD沒有意義....一個信號不能同時有兩個值。 – dave

回答

2

使用事件作爲您的變量數據類型,並使用 - >在其上強制執行事件。它會在零時間以gtkwave的形式顯示爲衝動箭頭。沒有時間尺度的技巧是必要的。

+0

謝謝,這正是我一直在尋找的!無論如何,我無法在特定的時間找到正確的語法來提高事件(事件定義是正確的),請您舉例說明一下嗎?另外,有沒有關於VCD文件格式的明確文件? –

+0

下面是一個例子: module top; 事件a; 初始 開始 $ dumpfile(「whatever.vcd」); $ dumpvars(0,top); #10 - > a; #10 - > a; #10 - > a; #10 - > a; #10 - > a; end endmodule –

+0

對於VCD語法,您可以查看Verilog規範。 –

相關問題