gtkwave

    0熱度

    2回答

    我寫了下面的測試我的代碼: module HalfAdder_Test; wire sum; wire carry; reg a = 0; reg b = 0; initial begin $dumpfile("test.vcd"); $dumpvars(0, HalfAdder_Test); # 10 a = 0; # 10 b = 0;

    -2熱度

    1回答

    在我的情況下,在服務器上生成一個vcd文件,我想在網頁上的gtkwave軟件中可視化該文件,以便客戶端可以在gtkwave中查看該文件。 我不是一個專家的Web開發人員,請幫助,如果有人知道如何做到這一點。 在此先感謝.. !!

    1熱度

    1回答

    在VCD文件中,我想指定某個事件發生在特定時間。爲此,我試圖定義一個單一位信號,其值幾乎是時間0,並且在同一時間(在發生我的事件時)將此值切換爲0,然後返回到1。不幸的是,當用gtkwave查看文件時,沒有顯示任何內容。我怎麼能實現這種行爲?

    0熱度

    1回答

    我正在寫一個進程,必須尋找每一個傳入位,跟蹤接收或不接收的總數是1,並且,當時間到了必須比較該值爲參考值。該過程如下: parity_tester : process(clk, sub_rst, barrel_data_in, barrel_enable, parity_test, parity_ref) variable last_known_enable : boolean :=

    0熱度

    2回答

    我想檢查使用sc_buffer和sc_signal之間的差異。我編寫了一個模塊,它添加了兩個隨機數,然後我並行運行兩個測試:一個使用sc_buffer,另一個使用sc_signal。然而,當我檢查gtkwave時,我看到了兩個例子的相同痕跡,所以我認爲這種情況下應該沒有任何區別。我如何檢查差異?還是說這兩種不同類型的頻道是針對不同的應用?