2015-10-26 73 views
0

我來自VHDL後臺。而在VHDL中,有用於執行固定和浮點運算的IEEE軟件包。它們有時是不可綜合的,但在進行測試時非常有用。Verilog中的固定和浮點數

我的問題是,Verilog是否有一個用於執行固定和浮點運算的庫?它不合適,如果它不合成。

+0

你總是可以嘗試谷歌它並找到例如[本](http://www.eda.org/fphdl/old_index.html)。但是,真的不夠好嗎? – Qiu

+0

不是我所知道的,請參閱[以前的處理定點答案](http://stackoverflow.com/a/28383767/97073)。 [也是這個](http://stackoverflow.com/a/27765266/97073)和[this](http://stackoverflow.com/a/28170918/97073)。 – Morgan

+0

我可以使用實數。但是在vhdl中,我通常在測試平臺中使用fixed_pkg。將實際值轉換爲固定值和從固定值轉換。但我想在Verilog中,我必須自己編寫真正的固定函數。 – vipin

回答