2015-06-04 139 views
0

我想在OSX Yosemite(10.10.3)上爲Systemverilog運行一些測試臺。我所知道的唯一可以使用的免費模擬器是Verilator,它不能處理測試臺,而Icarus顯然可以處理「非常少」的SystemVerilog。有沒有我可以使用在Mac上運行SystemVerilog測試平臺?有沒有辦法在osx上自由運行systemverilog testbenches

回答

0

你可以用Windows模擬器。

「空閒」模擬器都不支持受限的隨機生成,斷言或測試平臺的功能覆蓋。

0

如果你很樂意去網頁,EDA Playground有教育版本的Riviera-PRO可用,它具有完整的SystemVerilog支持。

Altera toolchain提供的Modelsim的「免費」版本有限,但可以運行UVM,但需要注意一些注意事項。從老EDA Playground docs

UVM可以採用ModelSim 10.1d,只要下面的編碼風格的調整,隨後可以使用:

當創建一個uvm_sequence,把在構造函數如下:do_not_randomize = 1'b1;

class my_sequence extends uvm_sequence #(my_transaction); 

function new(); 
    // MUST BE SET when using ModelSim 
    do_not_randomize = 1'b1; 
endfunction 
相關問題