我想在OSX Yosemite(10.10.3)上爲Systemverilog運行一些測試臺。我所知道的唯一可以使用的免費模擬器是Verilator,它不能處理測試臺,而Icarus顯然可以處理「非常少」的SystemVerilog。有沒有我可以使用在Mac上運行SystemVerilog測試平臺?有沒有辦法在osx上自由運行systemverilog testbenches
0
A
回答
0
你可以用Windows模擬器。
「空閒」模擬器都不支持受限的隨機生成,斷言或測試平臺的功能覆蓋。
0
如果你很樂意去網頁,EDA Playground有教育版本的Riviera-PRO可用,它具有完整的SystemVerilog支持。
由Altera toolchain提供的Modelsim的「免費」版本有限,但可以運行UVM,但需要注意一些注意事項。從老EDA Playground docs:
UVM可以採用ModelSim 10.1d,只要下面的編碼風格的調整,隨後可以使用:
當創建一個uvm_sequence,把在構造函數如下:
do_not_randomize = 1'b1
;class my_sequence extends uvm_sequence #(my_transaction); function new(); // MUST BE SET when using ModelSim do_not_randomize = 1'b1; endfunction
相關問題
- 1. 有沒有辦法在所有Heroku dynos上運行命令?
- 2. 有沒有辦法在運行時在mac osx中獲取npapi插件路徑?
- 3. 有沒有辦法在Objective-C中自動運行代碼?
- 4. 有沒有辦法檢查腳本是否由PowerShell ISE運行?
- 5. 有沒有辦法通過編程在OSX上靜音?
- 6. 有沒有辦法在OSX上安裝Julia-lang 0.4.2版本?
- 7. 有沒有辦法讓vi和vim在OSX上表現不同?
- 8. 有沒有辦法使用「SHC」在OSX上編譯shell腳本?
- 9. 有沒有辦法運行NPM安裝
- 10. 有沒有辦法脫機運行Trac?
- 11. 沒有行限制自由辦公室
- 12. 有沒有辦法在Bada上運行Python?
- 13. 有沒有辦法在iOS上運行腳本?
- 14. 有沒有辦法在服務器上運行Lisp-in-box?
- 15. 有沒有辦法在64位Oracle客戶端上運行TOAD?
- 16. 有沒有辦法在Raspberry Pi上運行Angular應用程序?
- 17. 有沒有辦法在兩個窗口上運行Modal?
- 18. 有沒有辦法在Windows上運行LibreSSL?
- 19. 有沒有辦法在android上運行windows mobile應用程序?
- 20. 有沒有辦法在XWindows上運行Android?
- 21. 有沒有辦法在咖啡桌上運行柏拉圖
- 22. 有沒有辦法在AppEngine上運行OpenBravo?
- 23. 有沒有辦法在Windows上運行「createrepo」命令?
- 24. 有沒有辦法在SQLite數據庫上運行SQL Reports?
- 25. 有沒有辦法在mac上運行opencl內核代碼
- 26. 有沒有辦法在單個表上運行rake db:setup?
- 27. 有沒有辦法調試在`multiprocessing.Process`上運行的Python代碼?
- 28. 有沒有辦法在遠程主機上運行Selenium測試?
- 29. 有沒有辦法自動分組行?
- 30. 有沒有辦法自行下載Xcode?