2016-08-31 129 views
1

我在LinuxMint 17.3操作系統下在我的電腦上安裝了Quartus II 64位。我沒有任何問題。但是我不能用ModelSim-Altera進行仿真。我收到消息「ModelSim-Altera沒有找到......」。 There is安裝我已經完成的ModelSim-Altera的詳細說明。但它並沒有幫助我。我還試圖在ModelSim-Altera的路徑末尾設置「/」。現在,我現在不需要做什麼來使其能夠運行。modelsim-altera未找到(linux)

其他信息:

**致命的:閱讀失敗VLM過程(0,0) 分割故障(核心轉儲)

我跑VSIM時也有以下錯誤

我試圖執行link(第二個問題)下的說明,但我還有提到的錯誤。

在完成上述鏈接下的所有指令(問題一然後問題二)後,我得到了一個運行良好的vsim。但不幸的是,我不能從Quartus II運行ModelSim。

+0

此或在這裏看到一個類似的問題http://stackoverflow.com/questions/32559056/altera-quartus-falsly-says-modelsim-isnt-installed/32586843# 32586843 – Prashant

+0

是的。但不幸的是它沒有解決。不管怎樣,謝謝你。 – Leshiy

回答

0

我知道這是一個古老的線索,但我來到這裏尋找答案,並在其他地方結束。 添加這對.bashrc中似乎已經奏效:

export PATH=$PATH:~/altera/13.0sp1/modelsim_ase/bin:~/altera/13.0sp1/quartus/bin 

這也可能是值得注意的是,我重新下載的ModelSim-Altera的,即使它說我已經受夠了。我無法運行modelsim_ase/linuxaloem/vsim(找不到libXext.so.6,雖然它也已安裝),但它現在似乎工作。 64位Ubuntu 16.04。 希望這可以幫助別人。

編輯:也export QUARTUS_64BIT=1在.bashrc中