0
A
回答
3
對於通用的VHDL方法,您可以將系統時間作爲通用傳遞給測試臺實體。如果試驗檯的樣子:
entity seed_tb is
generic(SEED : natural := 0);
end entity;
architecture sim of seed_tb is
begin
assert FALSE report "SEED = " & integer'image(SEED) severity NOTE;
end architecture;
然後在Linux上的ModelSim仿真,用於編譯和運行命令行可以是:
> vlib work
> vcom seed_tb.vhd
> vsim seed_tb -c -gSEED=`date +%s` -do "run; exit"
的date +%s
給秒自1970-01-01,這將適用於VHDL自然類型(即使再過25年:-)。
該方法的優點是,在需要調試的情況下,使用命令行中的相同種子很容易重新運行模擬。
1
使用支持外部對象(體系結構,子程序)的VHDL工具套件,可以讓外部子程序從系統調用中返回系統時間。
您可以在文件中放置種子值並讀取它。一個shell腳本包裝器會這樣做並調用你的模擬器。
procfs函數可以在讀取文件時提供對系統時間的訪問。
您可能會收集系統時間的概念在VHDL中並不重要。
相關問題
- 1. 獲取當前ISO8601日期/時間戳
- 2. Spark sql(1.4):獲取當前時間戳
- 3. 當前時間戳
- 4. 從當前時間戳獲取日期時間默認
- 5. iOS Swift - 獲取當前本地時間和日期時間戳
- 6. 如何獲得當前時間戳
- 7. 當前時間戳與之前時間戳之間的差異
- 8. FFmpeg提取當前幀時間戳
- 9. 獲取自時代以來微秒的當前時間戳?
- 10. 在Javascript中獲取當前小時的時間戳
- 11. 當前時間戳Nhibernate
- 12. 當前時間戳=明天
- 13. PostgreSQL的當前時間戳
- 14. 獲取RFC 3339時間戳一天前
- 15. 獲取當前時間?
- 16. FreeRTOS獲取當前時間
- 17. 獲取當前時間jPlayer
- 18. 時間戳差異,當前時間減時間戳
- 19. 將應用表單遷移到Monadic - 獲取當前時間戳
- 20. 如何在java中獲取當前的Linux GMT時間戳?
- 21. 如何獲取當前滑動窗口的最大時間戳
- 22. 獲取從當前時間戳天sql查詢
- 23. 獲取時間戳格式的當前NSDate
- 24. 在XSLT中獲取當前unix時間戳
- 25. 如何從當前時間戳獲取shell輸出。 Linux,unix
- 26. iOS音頻單元獲取當前時間戳
- 27. 如何獲取我的mysql注入的當前wordpress時間戳
- 28. XSLT - 獲取最接近當前時間戳的節點
- 29. 在AppEngine/Go中獲取當前部署的時間戳
- 30. 以特定格式獲取當前時間戳HH:MM:SS.XXXX + 05:30