2016-11-10 56 views
2

我正在學習鑿子下面的文檔on GithubChisel3。功能模塊Mux4

到目前爲止,一切工作完美無瑕。但我卡在第13章,"Functional Module Creation"

無法讓代碼工作。我創建了鑿模板項目的副本我所有的.scala類。下面是我寫/複製與可變位寬創建MUX4:

/鑿模板/ src目錄/主/斯卡拉/ Mux4.scala

import Chisel._ 

class Mux4(w: Int) extends Module { 
    val io = IO(new Bundle { 
     val sel = UInt(INPUT, 2) 
     val in0 = UInt(INPUT, w) 
     val in1 = UInt(INPUT, w) 
     val in2 = UInt(INPUT, w) 
     val in3 = UInt(INPUT, w) 
     val out = UInt(OUTPUT, w) 
    }) 

    io.out := Mux2(io.sel(1), 
        Mux2(io.sel(0), io.in0, io.in1), 
        Mux2(io.sel(0), io.in2, io.in3)) 
} 


class Mux2(w: Int) extends Module { 
    val io = IO(new Bundle { 
     val sel = Bool(INPUT) 
     val in0 = UInt(INPUT, w) 
     val in1 = UInt(INPUT, w) 
     val out = UInt(OUTPUT, w) 
    }) 

    when(io.sel) { 
    io.out := io.in0 
    }.otherwise { 
    io.out := io.in1 
    } 
} 


object Mux2 { 
    def apply(sel: UInt, in0: UInt, in1: UInt): UInt = { 
    val m = new Mux2(in0.getWidth) 
    m.io.sel := sel.toBool() 
    m.io.in0 := in0 
    m.io.in1 := in1 
    m.io.out 
    } 
} 

測試儀階i類寫道:

/鑿模板/ src目錄/測試/斯卡拉/ Mux4Test.scala

import Chisel.iotesters.{ChiselFlatSpec, Driver, PeekPokeTester} 

class Mux4Test(c: Mux4) extends PeekPokeTester(c) { 

     val sel = 3 
     val (in0, in1, in2, in3) = (5, 7, 11, 15) 

     poke(c.io.sel, sel) 
     poke(c.io.in0, in0) 
     poke(c.io.in1, in1) 
     poke(c.io.in2, in2) 
     poke(c.io.in3, in3) 
     step(1) 
     System.out.println("Circuit: "+peek(c.io.out) 
      +" Expected: "+TestMux4.result(sel, in0, in1, in2, in3)) 
} 

object TestMux4{ 
    def result(sel: Int, in0: Int, in1: Int, in2: Int, in3: Int): Int = { 
    val out = sel match{ 
     case 0 => in3 
     case 1 => in2 
     case 2 => in1 
     case 3 => in0 
    } 
    out 
    } 
} 

class Mux4Tester extends ChiselFlatSpec { 
    behavior of "Mux4" 
    backends foreach {backend => 
    it should s"do Mux4 $backend" in { 
     Driver(() => new Mux4(4), backend)(c => new Mux4Test(c)) should be (true) 
    } 
    } 
} 

最重要的部分從輸出

STEP 0 -> 1 
Circuit: 0 Expected: 5 

的MUX4類(電路)返回0作爲輸出,而它應該是5,這是因爲選擇過程如下:

00 - > IO .OUT = IN3 = 15

01 - > io.out = IN2 = 11

10 - > io.out = IN1 = 7

11 - > io.out = IN0 = 5

在Mux4Test.scala類我寫VAL SEL = 3。這樣做的比特表示是,因此,我期望IN0 = 5

我在哪裏錯了?

回答

5

感謝您對鑿子的興趣!我發現了這個問題:當你實例化一個鑿子模塊時,你需要確保將它包裝在Module(...)(編輯:維基上的代碼省略了這個包裝器這已被修復)。因此,反對多工器Mux2應改爲:

object Mux2 { 
    def apply(sel: UInt, in0: UInt, in1: UInt): UInt = { 
    val m = Module(new Mux2(in0.getWidth)) // <- See Here 
    m.io.sel := sel.toBool() 
    m.io.in0 := in0 
    m.io.in1 := in1 
    m.io.out 
    } 
} 

隨着這一變化,它看起來像代碼的工作!

+0

我也只是意識到實際維基的例子有同樣的錯誤。我很抱歉!我馬上解決它。 – jkoenig

+0

謝謝你的回答快@jkoenig。現在它工作了! – mtosch

+0

我也預解碼修復,以確保此錯誤是夾在未來,對不起任何挫折這就造成了! – jkoenig

0

沒有看過所有的代碼,但我認爲多工器Mux2論據是錯誤的順序在這裏:

多工器Mux2(io.sel(0),io.in0,io.in1)