2017-06-06 27 views
0

我想做一個斷言,它只檢查時鐘正邊沿的信號轉換。如果信號改變而不是正邊沿,它應該會產生一個錯誤。斷言來檢查時鐘邊界的信號轉換

+0

請編輯您的問題以顯示[迄今爲止的代碼](http://whathaveyoutried.com)。你至少應該包括一個你遇到問題的代碼大綱(但最好是[mcve]),然後我們可以嘗試幫助解決具體問題。你還應該閱讀[問]。 –

回答

0

嘗試這一個:

A1:斷言屬性(@(時鐘)的時鐘== 1'b1的| =>信號== $過去(信號));