2014-10-05 41 views
0

我想將以下內容轉換爲僅在Verilog HDL中的NANDNOT門。NAND和非運算符

A & B | C 

我試圖用Verilog如下:

A &~ B &~ ~C 

但是,我在~C在令牌~出現語法錯誤。

回答

2

你可以做到這樣:

~(~(A&B) & ~C)