2013-05-29 42 views
0
條件代碼

我試圖合成具有類似以下的sintax一個VHDL模塊:2008年ISE

... 
adc_0_ram_addr <= address_i when selector="000" else (others => '0'); 
... 

然而,在使用ISE合成時,我得到了以下錯誤:

ERROR:HDLCompiler:1690 This construct is only supported in VHDL 1076-2008 

我的項目被設置爲VHDL20XX而不是'93,所以它應該可以引用這個poing。 我搜索了它,但我什麼也沒找到。 如何正確設置我的ISE環境?

在此先感謝

+0

請發佈導致錯誤的完整代碼!在正確的上下文中,您發佈的產品線與ISE在200X和'93中一起工作,沒有任何錯誤。 – baldyHDL

回答

1

我發現這個問題:語言的變化不是由於完成和寫入權限問題。

+0

你能解釋一下 - 你是如何解決語言變化的? – davidhood2

+1

@ davidhood2我只是驗證文件夾/文件的權限,並根據我的需要(w + r + x)設置它們。 –