2017-04-24 271 views
-2

我在Mac上使用iverilog,並且編譯包含always_ff和always_comb塊的代碼時出現問題。 ModelSim編譯這些代碼沒有任何問題。是否可以配置iverilog以支持always_ff和always_comb塊,或者它們只是不受編譯器支持?icarus(iverilog編譯器)的SystemVerilog支持

在此先感謝!

+0

http://iverilog.icarus.com說不。它試圖支持IEEE Std 1364-2005,其中不包括always_ff和always_comb。 – toolic

+0

有沒有其他方法可以在Mac上編譯SystemVerilog?或者我必須堅持使用VM(或本機窗口?) –

回答

2

always_combalways_latchalways_ff是在SystemVerilog IEEE Std 1800-2012中引入的一些關鍵字。它們不屬於Verilog IEEE Std 1364-2005,這是Icarus Verilog編譯器支持的內容。

我不知道任何免費的SystemVerilog模擬器。但是,您始終可以使用EDA Playground來模擬和綜合您的SystemVerilog設計。

+0

免費的SystemVerilog模擬器僅在Windows平臺上受支持,並且不支持完整的語言。 –

+0

@ dave_59:我相信Windows和Linux都支持Altera Modelsim Starter Edition。不知道關於MacOS。 – AndresM

+0

Verilator是一個開源模擬器,支持SystemVerilog的可綜合子集:https://www.veripool.org/wiki/verilator – JeffB