我正在一個項目上工作,但無論我做什麼,我都無法理解此代碼的作用。由於我對VHDL不熟悉,因此我很難理解此代碼的用途。這個VHDL代碼是做什麼的?
library iee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use work.my_package.all;
Entity multiplier is
generic (size: integer :=4);
Port (a,b : in unsigned(size-1 downto 0);
y : out unsigned(size-1 downto 0));
End multiplier ;
ARCHITECTURE behavior of multiplier is
Begin
y<= mult(a,b);
End behavior;
你也有一個包使用work.my_package.all; y <= mult(a,b)我認爲** mult(a,b)**是包中的函數。 – user29875 2013-10-01 22:15:33