我有如下的SV屬性:SVA:是否有可能從後面禁用SV屬性檢查?
propert my_property;
@(posedge clk) disable iff(reset) (!s_of) throughout ($rose(halt) ##0 ((rx_prio) > (expec_prio)) ##[0:$] $rose(rdy)) |-> ##[1:100] (my_prio[rx_prio]==1'b1);
endproperty:my_property
我有斷言如下:
MY_PROPERTY_CHECK:assert property (my_property)
else
$error;
在上述屬性,我有信號s_of其不應在整個檢查被斷言。但是這裏的情況是,這個信號s_of在隱含運算符的結果期間得到確認。因此,我的要求是禁用檢查,即使在後續聲明中斷言了信號s_of。有沒有辦法做到這一點?
謝謝。
通過禁用檢查來定義您的意思。禁用執行,以便能夠啓動一個新的或只有一個時鐘週期禁用它? –