0
我正試圖在VHDL中實現一個32位乘法器的記錄邏輯。此外,輸入位矢量(x_in
)被重新編碼,它有一個額外的輸入「1」。意圖是當「一」是'1'
輸出應該是x_in
否則如果「一」是'0'
,它應該是兩次x_in
。如果「負」高,則輸出必須反轉。這是我的VHDL代碼:VHDL代碼混淆
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use work.sum_vector_pkg.all;
entity tb_multipleGenerator is
end entity tb_multipleGenerator;
architecture logic of tb_multipleGenerator is
component multipleGenerator
generic(
constant WIDTH : integer := 32
);
port(
x_in : in std_logic_vector(31 downto 0);
one : in std_logic_vector(15 downto 0);
multiple : out partial_sum_array
);
end component;
signal x_tb : std_logic_vector(31 downto 0);
signal one_tb : std_logic_vector(15 downto 0);
signal multiple_tb : partial_sum_array;
begin
process begin
x_tb <= x"00000000";
one_tb <= x"0000";
wait for 200 ns;
x_tb <= x"00001111";
one_tb <= x"0011";
wait;
end process;
u_mult: multipleGenerator
generic map (
WIDTH => 32
)
port map (
x_in => x_tb,
one => one_tb,
multiple => multiple_tb
);
end architecture logic;
檢查輸出我使用下面的包:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
package test is
function toString(v : std_logic_vector) return string;
function toString(b : std_logic) return string;
end package;
package body test is
function toString(b : std_logic) return string is
variable str : string(1 to 3);
begin
str := std_logic'image(b);
return "" & str(2);
end toString;
function toString(v : std_logic_vector) return string is
variable str : string(1 to 1);
variable strOut : string(1 to v'length);
begin
for i in 1 to v'length loop
str := toString(v(i-1));
strOut(v'length - i + 1) := str(1);
end loop;
return strOut;
end toString;
end test;
當我運行
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
package sum_vector_pkg is
type partial_sum_array is array (0 to 15) of std_logic_vector(32 downto 0);
end package;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use work.sum_vector_pkg.all;
use work.test.all;
entity multipleGenerator is
generic(
constant WIDTH : integer := 32
);
port(
x_in : in std_logic_vector(WIDTH - 1 downto 0);
one : in std_logic_vector(WIDTH/2 - 1 downto 0);
multiple : out partial_sum_array
);
end entity multipleGenerator;
architecture logic of multipleGenerator is
signal sum : std_logic_vector(WIDTH downto 0);
begin
gen : for i in 0 to WIDTH/2 - 1 generate
process (one,sum,x_in) is begin
case one(i) is
when '0' => sum <= x_in & '0'; -- twice x_in
when '1' => sum <= '0' & x_in; -- same as x_in
when others => sum <= x"00000000" &'0';
end case;
multiple(i) <= sum;
report "The sum is " & toString(sum) & " one(i) is " & toString(one(i)) & " x_in is " & toString(x_in);
end process;
end generate;
end architecture logic;
我用下面的測試平臺運行它上面的代碼在輸出中得到了'X'
。這裏是示例輸出:
Time: 200 ns Iteration: 2 Region: /tb_multiplegenerator/u_mult/gen(12)
# ** Note: The sum is 0000000000000000000XX00XX00XX00XX one(i) is 0 x_in is 00000000000000000001000100010001
# Time: 200 ns Iteration: 2 Region: /tb_multiplegenerator/u_mult/gen(13)
# ** Note: The sum is 0000000000000000000XX00XX00XX00XX one(i) is 0 x_in is 00000000000000000001000100010001
# Time: 200 ns Iteration: 2 Region: /tb_multiplegenerator/u_mult/gen(14)
# ** Note: The sum is 0000000000000000000XX00XX00XX00XX one(i) is 0 x_in is 00000000000000000001000100010001
# Time: 200 ns Iteration: 2 Region: /tb_multiplegenerator/u_mult/gen(15)
有人能解釋爲什麼這段代碼不工作嗎?
怎麼樣[最小,完全和可驗證的示例](https://stackoverflow.com/help/mcve)?你可能已經用函數bv_negate弄糟了包milite_pack並提供了一個測試臺。在最低限度爲失敗時提供一個明確的價值,並且顯示如何生成這些報告報表。您的問題無法用您提供的內容複製。 – user1155120
@ user1155120我已經更新了問題並提供了測試平臺,請看一下,它現在應該是可複製的。 – sarthak
代碼中生成的「總和是...」輸出在哪裏? – mkrieger1