digital-logic

    1熱度

    1回答

    只是閱讀有關AVR微控制器的USART連接和我面臨這樣一個問題: 什麼是AVR微控制器的USART(RXC,TXC和其他內部)使用的數字邏輯? 我已經找到了答案,單片機的數據手冊中這個問題(它說的邏輯是TTL),但我不能找出爲什麼我們使用其他邏輯轉換器像MAX232將串行通訊協議改爲RS232C。這是長距離串行通信所需要的嗎? 最後,我們需要使用另一個MAX232到以前的轉換協議轉換爲TTL 再次

    0熱度

    1回答

    我已經創建了我的真值表,並從此繪製了一個布爾表達式(f = B'A'+ CA'+ DC'+ DB + D'CB'),然後我試圖使用Quartus轉換爲電路。 我是新來的數字邏輯,我需要一些有經驗的人誰可以告訴我,如果我試圖看起來是正確的一些幫助。 我無法編譯電路,因爲我沒有安裝'設備支持'。如果任何人都可以指出我如何獲得這一點的正確方向,那將不勝感激。 這是我基於布爾表達式創建的電路。 這是我的真

    0熱度

    1回答

    我想在VHDL中製作一個std_logic_vectors數組。該數組用於生成語句以生成桶形移位器。數組中的每個元素(數組,矢量)應該是可單獨尋址的位。這是我的一些代碼。 信號聲明: type stage_t is array(4 downto 0) of std_logic_vector (15 downto 0); signal stages: stage_t; 在架構: test_st

    0熱度

    1回答

    我有一個8位輸入A和3位輸入n。我想移的n次向左或向右但是這個代碼似乎不工作(輸出X): w = A << n; 但是當我把像2而不是n爲整數,代碼工作不問題。那麼如何將n轉換爲一個整數值,以便移位操作可以毫無問題地工作?

    1熱度

    2回答

    我有一個8位有符號變量A和一個3位值n。我想在總是聲明中將運算符轉移n次,但不起作用,輸出爲x。 reg signed [7:0] A = //something; reg [2:0] n = 3'b//something always @(A, n) begin w = 8'b0; w = A >> n; 那麼,什麼類型的變量移位算子需要?以及如何將n轉換爲該類型?

    0熱度

    1回答

    任何人都可以解釋在最後一步中如何簡化布爾表達式嗎? 原始的問題: 實現X = a'b'd' + b'cd' + A'B'C + a'cd' + abc' + ABD + bc'd + ac'd使用最少數量的2輸入與非門。假設雙軌輸入 可用。沒有門可以用作NOT。 Question Solution image

    0熱度

    1回答

    我使用兩個3位地址寄存器和使用兩個3to8解碼器的3位寄存器的交叉欄創建一個64字節RAM。這裏是VHDL代碼: library ieee; use ieee.std_logic_1164.all; entity ram88 is port(a : in std_logic_vector (2 downto 0); s0: in std_logic; s1:

    0熱度

    1回答

    我正試圖在VHDL中實現一個32位乘法器的記錄邏輯。此外,輸入位矢量(x_in)被重新編碼,它有一個額外的輸入「1」。意圖是當「一」是'1'輸出應該是x_in否則如果「一」是'0',它應該是兩次x_in。如果「負」高,則輸出必須反轉。這是我的VHDL代碼: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigne

    0熱度

    2回答

    格雷碼計數器中的1位變爲亞穩態時會發生什麼?爲什麼它在異步FIFO中不成問題?

    0熱度

    2回答

    如果系統時鐘的週期爲T,然後我們如何能夠延緩由1/4 T中的時鐘而無需使用任何模擬裝置的一小部分延遲的時鐘?可綜合的VHDL代碼是首選。