2017-10-13 32 views
0

類我知道這是一般的,但正是因爲這樣,我問...VHDL程序 - 觸發器多少需要在硬盤簡單的問題,

如果我用VHDL代碼和我使用一個這樣開始的過程:

Process(clk,x,y,x) 
begin 
... 
end process 

有沒有什麼辦法可以保存x,y,z值?我明白這一點,如果我不保存他們,我不會說如果他們中的一個改變了,這意味着我必須拯救他們。

即時與大學的朋友寫作業,我們有不同的意見。非常感謝幫手!

回答

0

這完全取決於您的需求。

如果你不知道 - 當你在VHDL中爲FPGA,CPLD等做一些項目時,你必須忘記你對編程的瞭解,因爲你正在設計硬件,而不是軟件。大多數情況下,如果不是總是如此,則不必保存這些值,例如,在製作組合電路時。在這種情況下,你不在乎之前是什麼,而只是當前的價值。看看有限狀態機的一些examples,它具有組合邏輯的過程。最後 - 如果你把時鐘放在靈敏度列表中,這意味着你希望它是同步的,並且進程只會在這個時鐘的某個邊沿上啓動,所以把更多的信號給它(除了復位)是毫無意義的。