類我知道這是一般的,但正是因爲這樣,我問... 如果我用VHDL代碼和我使用一個這樣開始的過程: Process(clk,x,y,x)
begin
...
end process
有沒有什麼辦法可以保存x,y,z值?我明白這一點,如果我不保存他們,我不會說如果他們中的一個改變了,這意味着我必須拯救他們。 即時與大學的朋友寫作業,我們有不同的意見。非常感謝幫手!
我有9個觸發器和一個復位輸入。當重置爲0時,我需要將8個觸發器的輸出設置爲0。並輸出一個觸發器到1。這款觸發器獨一無二,從未改變過。怎麼做? 觸發器的代碼: library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity d_ff is
port
(
clk : in std_logic;
rst : in std
我正在嘗試使用生成函數使多個觸發器被用作寄存器。我有一個信號位矢量,我希望每一位都是D輸入到它的等效觸發器,但編譯後我得到警告,輸出Q沒有驅動程序。 在這裏,我限定觸發器 entity flipflop is
port(
D,CLK,RST: in BIT;
Q: out BIT
);
end entity;
architecture beh
我想用VHDL實現SR觸發器。我編寫了觸發器的代碼以及測試平臺。但測試平臺不能正確編譯,並給出我無法弄清楚的錯誤。我正在使用ghdl進行編譯。請幫忙。 這是觸發器的代碼。 library ieee;
use ieee.std_logic_1164.all;
entity sr_flipflop is
port
(
s,r,clock: in std_logic;