我想寫一個VHDL模塊,但我有一個if語句的問題。很可能這是一個愚蠢的錯誤,但由於我對VHDL非常陌生,我無法弄清楚這個問題。這裏是我的代碼:意外的TICK錯誤
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity binary_add is
port(n1 : in std_logic_vector(3 downto 0);
n2 : in std_logic_vector(3 downto 0);
segments : out std_logic_vector(7 downto 0);
bool : out bit;
o : out std_logic_vector(3 downto 0);
DNout : out std_logic_vector(3 downto 0));
end binary_add;
architecture Behavioral of binary_add is
begin
process(n1, n2)
begin
o <= n1 + n2;
if(o = '1010') then
bool <= '1';
else
bool <= '0';
end if;
end process;
end Behavioral;
我從if語句的第一行得到如下答案:
ERROR:HDLParsers:## - "C:/Xilinx/12.3/ISE_DS/ISE/.../binary_add.vhd" Line ##. parse error, unexpected TICK
我在做什麼錯?
嗨。如果答案幫助你,請註冊。接受最有用的! – Marty 2010-11-03 13:56:06