2015-05-09 33 views
3

移位加法器和串行加法器是相同的嗎?我試過谷歌,但我無法理解差異。我必須在VHDL中使用它。移位加法器和串行加法器的區別

由於

+0

移位加法器用於通過移位被乘數來實現乘法。串行加法器也稱爲位串行加法器或二進制串行加法器。 – user1155120

回答

1

串行二進制加法器: 串行二進制加法器或位串行加法器是由位進行二進制加法位的數字電路。例如要添加1010 + 0100,我們將從LSB開始。

0 + 0 --> 0 
1 + 0 --> 1 
0 + 1 --> 1 
1 + 0 --> 1 

而你最終的答案是1110

移位加法:是用於繁殖。例如,如果我們想要乘以10和10,我們將從LSB開始。

10 * 0 --> 00 
10 * 1 --> 10 

在添加到第二部分產品之前,第一部分產品向右移動。爲了得到結果。

000 
+10 
------- 
100