cadence

    0熱度

    2回答

    在Cadence SKILL(專有EDA語言,基於LISP & SCHEME)中,可以在過程中定義參數類型。 如果給出錯誤類型的參數,它將會出錯。請參閱下面的shell報告: procedure(foo(ko "t") printf("Hey %s\n" ko)) >foo >foo("1") >Hey 1 >t foo(1) >*Error* foo: argument #1 sho

    0熱度

    1回答

    我使用Cadence的linting工具HAL。現在我必須使用Questasim。但我不知道我可以使用的工具或開關。 是否有任何工具從導師(Questaism)等HAL來自Cadence(HAL僅用於起絨單獨的工具)。 OR 有任何切換,我不得不使用,使Questasim與任何這些的掉毛 「視頻博客| vopt的|與Vsim | Qverilog」。

    -1熱度

    1回答

    我必須使用Cadence程序套件來完成Verilog類的分配,並且我想知道爲什麼我創建的非常簡單的模擬測試臺中的$display語句沒有在SimVision控制檯窗口中產生輸出。 我的工作流程是這樣的: 我已經創建了以下功能視圖中的單元格: module tesbench (); initial begin $display("RUNNING TESTBENCH");

    0熱度

    1回答

    我有一個很大的混合信號設計,有363個引腳。 Layout XL知道引腳的位置(當我拖動它時,綠線將每個引腳連接到正確的位置)。 我的問題是:我怎樣才能避免花一週時間做這麼繁瑣的活動,並使引腳放置自動?我一直都是手動完成的,但這次設計太大了。必須有一種方法來運行腳本,或從某個菜單發出命令,以節省時間和精力。

    1熱度

    1回答

    現在我正在嘗試使用節奏ncelab的快照功能。 我發現了一些關於SNAPSHOT的特殊功能,所以我嘗試了這麼多。但我無法使用SNAPSHOT。 那麼你可以告訴我SNAPSHOT的節奏ncelab的用法嗎? 我的verilog代碼就像下面這樣。 module top(); reg a; reg b; wire c; assign c = a+b; endmodule 謝謝先進。

    0熱度

    2回答

    任何人都可以請解釋如何考慮考慮mux時的時序分析。如果我們考慮時序,它通常在兩個觸發器之間,如圖所示,如果我們考慮複用器選擇引腳? 就我的理解而言,它是一個選擇引腳,因此不能用作時序分析的路徑。如果我錯了,請糾正我。 There is a circuit with 4 flops and one more for select pin which is connected to output fl

    0熱度

    1回答

    我與某人合作開發SystemVerilog項目。但是,我習慣使用Synopsys VCS SystemVerilog模擬器,他習慣於使用Cadence INCISIVE irun。 一個測試臺模塊使用隨機數生成頂層設計模塊的測試輸入模式。因此,我設計一類用於產生隨機數: class RandData; rand logic [3:0] randIn; function new(

    0熱度

    1回答

    我試圖模擬模擬&數字仿真(Cadence的Virtuoso全版本6) 我做出Verilog代碼簡單的計數器,我成功檢查數字仿真。 但是當我嘗試使用混合信號模擬(僅使用2個用於模擬部分的Clk //復位到數字計數器的反相器鏈)時,我發現數字輸出僅在1ns(1ns,2ns,3ns,4ns)的多個時間內發生變化 即使我讓Clk期間100ps,櫃檯變化只有1ns,2ns,3ns。 (在Verilog仿真中

    0熱度

    1回答

    我有一個pdf函數,它是一個指數函數除以定積分。我有兩個問題: 1)如何在HSPICE中定義我自己的PDF? (我發現HSPICE具有高斯分佈,但這不是我正在尋找的) 2)如何解決HSPICE中的定積分? 我可以用Verilog-A中的模型解決這兩個問題嗎? 在此先感謝!

    0熱度

    1回答

    -(void)peripheral:(CBPeripheral *)peripheral didUpdateValueForCharacteristic:(CBCharacteristic *)characteristic error:(NSError *)error { dispatch_async(dispatch_get_main_queue(), ^{ NSDat