-1
我必須使用Cadence程序套件來完成Verilog類的分配,並且我想知道爲什麼我創建的非常簡單的模擬測試臺中的$display
語句沒有在SimVision控制檯窗口中產生輸出。
我的工作流程是這樣的: 我已經創建了以下功能視圖中的單元格:
module tesbench ();
initial begin
$display("RUNNING TESTBENCH");
$finish;
end
endmodule
我已經然後調用NC-Verilog的,初始化和網表和模擬這將打開SimVision控制檯 細胞窗口。運行仿真然後產生以下輸出:
ncsim> run
Simulation complete via $finish(1) at time 0 FS + 0
/home/path/to/verilog/file.v:4 $finish;
ncsim>
因此$display
輸出未顯示。這似乎是一個非常簡單的問題,但我不能爲了我的生活找出我做錯了什麼。
也許這是一個競爭條件,因爲$ display和$ function同時發生(0)。在$ display之後添加一個延遲,就像'#1;' – toolic
這並沒有解決問題,我對Verilog和Cadence都很陌生,所以我不確定這是否甚至是代碼問題,或者如果我是不正確使用程序套件。 – Peter
因此,這對SimVision來說「適用於我」。您使用的是什麼版本,以及如何調用該工具? –