systemc

    0熱度

    1回答

    我寫了一個簡單的程序,它將數據從一個模塊發送到另一個模塊,但它似乎不工作,我不知道爲什麼。這裏是我的代碼: Server.h #include <iostream> #include "stdafx.h" using namespace std; SC_MODULE(Server){ sc_in <bool> clock; sc_fifo_out <sc_uint<20

    -1熱度

    1回答

    我是新來的systemC它可能看起來很愚蠢,但我會感謝幫助。 在下面的代碼 在主要功能:寫(),以aa和bb示出了值0使用aa.read()和bb.read()這應該是10和20 同時,我認爲它應該進入方法閱讀時do_add()在加法器模塊中,因爲它對a和b和b和a,b敏感,所以它們被綁定到aa和bb信號,但它不會調用方法do_add()。它是如何工作的,代碼中是否有錯誤? 編譯代碼: g++ -

    0熱度

    1回答

    在我的項目中有幾個執行SystemC仿真的函數(每個函數都有自己的聲明前奏和sc_start())。 所以它們被構造如下:根據需要,直到sc_stop // first Simulation: sc_signal<double> s1_sim1; .. ControlFoo<double> *cf = new ControlFoo<double>(); cf->Foo_port(s1_si

    0熱度

    1回答

    我cholesky.cpp文件: #include "chol.h" #include <math.h> //Main Thread void chol::chol_main() { // Variable declaration sc_fixed<16,10, SC_TRN, SC_WRAP> chol_output[3][3]; sc_fixed<16,

    1熱度

    2回答

    我有一個C項目。它已被導入到Rhapsody 8.2。 現在我想產生: 組件圖。 結構圖。 數據流。 UML SystemC的 如何做到這一點? 在此先感謝 阿姆農

    0熱度

    1回答

    我在考慮連接SystemC和Qt,以模擬Cyber​​-Physical系統爲目標。是否有任何框架將Qt的信號/插槽映射到SystemC事件? (比如說,在一個方框中輸入一個值就會觸發一個SystemC多路複用器,該多路複用器選擇列表中的一個條目,並且該值在LCD顯示器上顯示爲數字)

    0熱度

    1回答

    我想比較SystemC中的仿真時間。我想在我的while循環開始時獲取當前的仿真時間,並且一旦仿真時間達到了特定的數字,我希望它離開循環。以下是我正在考慮的嘗試,但由於語法問題,它不起作用。 sc_time currentTime; int imageDur; //This value is variable; Resolution is seconds currentTime = sc_ti

    0熱度

    1回答

    我試圖建立在Eclipse中的一個項目,它看起來是這樣的: #include <iostream> #include <systemc.h> int sc_main() { ... } ,我騎上此錯誤消息: make all Building file: ../src/main.cpp Invoking: GCC C++ Compiler g++ -O0 -g3 -Wa

    0熱度

    2回答

    我正在尋找一個優雅的C++使用SystemC來連接位。 系統的Verilog例如,在一個漂亮的一行: bool my_variable; uint bits_combination = {8'b0, {8{my_variable}}, 8'b1, 4'b0, 2'b1, 2'b0}; 對於C++我的最佳解決方案: bool my_variable; sc_uint<32> bits_com

    0熱度

    2回答

    Im使用VS2013和Allegro的SystemC庫一起使用。我試圖初始化兩個陣列,如下所示: int pathObs1[19] = {10,9,8,7,6,5,4,3,2,1,2,3,4,5,6,7,8,9,10}; int Map[10][4] = { { 0, 3, 1, 4 }, //Grid 1 { 1, 3, 2, 4 }, //Grid 2 { 2,