2013-12-20 44 views
0

我想使用Altera D2-115發送帶有Verilog HDL的HTTP請求我正在實施一個使用煙霧探測器和IR斷路器電路的安全系統,以防發生系統應發送HTTP請求發送電子郵件。Verilog HDL中的HTTP請求

+0

這不是verilog問題,花花公子。所有你想要的是系統與以太網然後發送HTTP請求。請描述更多關於它的信息。 – Khanh

+1

使用ardiuno或另一個連接低級別信號和更高級別協議的系統會大大簡化這個問題,否則你將不得不在verilog中實現很多。 – Morgan

+0

我目前使用Altera的董事會DE2-115和我在這個初學者,這是需要在我大學的一個項目,因此,如果你能告訴我究竟是如何使用以太網在ALTERA發送HTTP請求會很好 :) –

回答

1

您希望使用FPGA來執行更適合SOC的任務。這就是說,你可以把你的FPGA變成一個SOC:在你的Altera器件中實例化一個NIOS-II處理器,將它連接到相關設備,例如RAM,閃存,SD插槽以便永久存儲,當然也可以以太網芯片,併爲NIOS-II處理器編寫程序,該程序將通過以太網芯片發送HTTP請求。這意味着您必須在程序中包含一個TCP/IP協議棧,才能將任何信息實際發送到Internet,接收任何響應並管理握手。它不是一個完整的堆棧,只有TCP,IP和可能的ICMP和ARP。 UDP不是必需的。

如果使用DE2-115電路板是個人選擇,並且不是強加的,您可能需要尋找一個帶有現成的TCP/IP堆棧並支持以太網的微控制器。即使你實際上並不需要一個完整的Linux系統,一個普通的Raspberry Pi也非常適合(而且便宜得多)。

試圖做只使用Verilog的將意味着所有的東西:

  • 撰寫設計控制以太網芯片FSM,可能 使用SPI配置選項,等等。
  • FSM與提供TCP/IP堆棧的大型FSM進行通信。 這確實是可行的,但它本身就是一個巨大的項目。
  • FSM反過來必須與另一個實施HTTP協議的FSM進行通信,以將HTTP請求發送到遠程主機。
  • 而且FSM將由一個簡單的FSM觸發,該FSM負責輪詢IR傳感器和煙霧探測器。這部分是我認爲在Verilog中作爲數字系統做的唯一可行的部分。