我不能用Verilog讀取文本文件,該文件包含以下內容:讀了Verilog HDL文本文件
b05_test.dut.n509 0
我擋在與fgets指令scan_faults = $fgets(file_faults , string , data);
,因爲它不起作用,我試圖用fsancf沒有任何積極的結果。
請幫忙嗎?
全碼:
integer file_faults;
integer scan_faults;
wire data;
initial begin
file_faults = $fopen("faults.txt", "r");
if (file_faults == 0) begin
$display("data_file handle was NULL");
$finish;
end
end
always @(*) begin
if (!$feof(file_faults)) begin
scan_faults = $fgets(file_faults , data);
end
else begin
$finish;
$fclose(file_faults);
end
end
安置自己的完整的,可運行的代碼:https://stackoverflow.com/help/mcve – toolic
'$ fgets'只接受2個參數,而不是3是指遊離IEEE標準1800-2012,第21.3.4.2節「一次讀一行」。 – toolic
謝謝你的回答,但我沒有看到模擬中的任何差異,我不明白爲什麼。其實我試圖給電路注入一個故障,但它不起作用。你能幫我解決這個問題嗎? – ouijdane