2011-08-21 32 views
0

我工作的賽靈思Spartan 3E平臺,使用這個開發板提供輸入數據到FPGA。我希望從外部傳輸輸入信號。輸入數據是一個8位信號流。使用USB

那麼,我該如何通過USB發送輸入信號從我的筆記本電腦到FPGA?賽靈思是否支持這項功能,或者是否有標準軟件來執行此操作?

謝謝。

+2

什麼是你的帶寬需求?原生USB並不是在任何一端實現的最簡單(Fpga或Pc)。您是否使用賽靈思帕坦3E開發板或其他標準的開發板,可能可以使用,而不是現有的接口? – davidd

+0

我使用Spartan 3E入門套件,內置USB接口,用於使用xilinx IDE對其進行編程。 –

+0

帶寬需求是可變的。我有8位數字信號,大多數應用的頻率大約爲100赫茲。 –

回答

2

這聽起來像你所描述的比原來的USB接口多UART。你可以得到一個USB邏輯電平的串行接口,可以讓你輕鬆地以高達921.6K波特傳輸數據和從PC。一個UART /串口很容易在FPGA中實現和PC很容易與串行端口使用。

以下是電纜: http://www.ftdichip.com/Products/Cables/USBTTLSerial.htm 如果您有開發卡,則很可能存在此類型的接口。

在軟件方面,您可以使用您選擇的編程語言,就好像它是用密封端口接口或使用像超級終端或下載teraterm終端程序http://ttssh2.sourceforge.jp/

更新的響應: 100Hz的不是硬界面來製作。如果可能的話,您應該使用串行接口。您參考的電路板有2個完整的RS-232連接。此時,您只需要一種將其連接到計算機的方法。如果你有一個RS-232連接一臺PC,你只需要電纜,如果你有一個新的計算機,而不需要一個RS-232轉USB電纜的翻譯(像這樣的:http://search.digikey.com/scripts/DkSearch/dksus.dll?Detail&name=768-1014-ND或谷歌RS232,USB)。這將爲您提供PC上的虛擬COM端口,與前面提到的終端程序或您的定製軟件進行交互。

更新2: 在您鏈接到的開發板頁面的資源選項卡上有幾個基於UART的fpga設計,您應該可以使用它作爲起點。 即「PicoBlaze處理器SPI閃存編程」。

2

這主板不提供方便的從FPGA的USB接口,據我可以告訴。這只是配置和調試。

一些較新的主板和工具也讓一些所謂的硬件在環測試,其中模擬可以上傳數據到FPGA,等待它來計算出結果,然後將數據拉回來。當使用賽靈思的System Generator產品時,這是相當常見的,因爲仿真可能非常長。

但我認爲與船上你會更好用板RS232端口上獲取數據,並從董事會。儘管如此,你將不得不構建自己的基礎設施。

這也可能給你一些想法:

http://www.1pin-interface.com/