關於最佳VHDL設計實踐的問題。最佳VHDL設計實踐
在設計狀態機時,我應該在體系結構內使用信號還是使用變量。我到目前爲止使用了變量,因爲它們對流程來說「有點」私有的,恕我直言,因爲它們不應該在流程之外訪問。但這是一個很好的設計實踐嗎?
type state_type is (s0,s1);
signal state : state_type := s0;
A : process(clk)
begin
if rising_edge(clk) then
case state is
.....
end case;
end if;
end process;
--This process uses a variable
B : process(clk)
type state_type is (s0,s1);
variable state : state_type := s0;
begin
if rising_edge(clk) then
case state is
.....
end case;
end if;
end process;
我發現多個交互過程往往會混淆代碼的意圖 - 正如你所說,這是一種風格的東西:) – 2013-09-26 13:49:28
我認爲我們已經同意在此之前有所不同;-) – 2013-09-27 12:34:15