2016-01-11 140 views
0

我正在嘗試在VHDL中創建一個向量數組,但是我在modelsim中收到錯誤。 我有:VHDL矢量陣列

type read_data_array is array (0 to 73) of std_logic_vector(7 downto 0);  
signal reg_data_stream    : read_data_array; 

我通過把數據存儲進所述陣列:

reg_data_stream(counter) <= read_data; 

「的read_data」 是std_logic_vector(7 DOWNTO 0)和 「計數器」 是從0遞增一個基本計數器

+1

'亞型字節是std_logic_vector(7 DOWNTO 0);類型read_data_array是字節的數組(0到73);'或告訴我們實際的錯誤消息說的是什麼... –

+2

以及read_data和count的聲明以及實際的錯誤消息。 – user1155120

+0

在我的腦海中,我想說計數器不是一個整數,你錯過了to_integer(...)語句,但我可能是錯的。 –

回答

0

要索引一個數組或向量,VHDL需要一個整數。如果計數器是一個std_logic_vector,嘗試:

to_integer(unsigned(counter)) <= read_data;