2
A
回答
0
復位後只啓用一個週期的觸發器如下所示;所有信號都是std_logic:
process (clk, rst) is
begin
if rst = '1' then
fired <= '0';
elsif rising_edge(clk) then
if fired = '0' then
q <= d;
fired <= '1';
end if;
end if;
end process;
+0
謝謝莫滕。我還找到了另一個解決方案我們可以定義一個信號:S_fireed <=發射;如果(s_fired ='0'和fired ='1')即使信號在多於一個時鐘週期內保持='1',只需一個時鐘週期q <= d。 –
相關問題
- 1. 可能立刻每週觸發一週觸發器?
- 2. 是信號週期性還是不是?使用MATLAB功能可能嗎?
- 3. 基於觸發信號的一個時鐘週期脈衝
- 4. 爲什麼我的連接不能觸發信號功能?
- 5. 是否有可能在DynamoDB中具有觸發器(如SQL觸發器)?
- 6. 使用Next_Day功能的Sql觸發器
- 7. 系統verilog斷言觸發器== 1在信號斷言之前4個週期
- 8. 平均週期信號
- 9. 不能使歐芹觸發器工作
- 10. 觸發器不能使用longtext字段
- 11. Atom snippnets不能使用TAB觸發器
- 12. 功能/觸發器已在使用中?
- 13. Sqlite動態觸發器生命週期
- 14. 是否有可能使mockjax觸發$ .ajaxPrefilter?
- 15. Qt的信號是發射器,但信號似乎沒有觸發
- 16. 週期性地使用信號發送消息給所有客戶端
- 17. 僅在週末觸發JS功能?
- 18. MySQL創建具有查詢和聚合功能的觸發器
- 19. 觸發信號流
- 20. 有條件觸發器有可能嗎?
- 21. 時間延遲/延遲估計非週期性信號(和週期性信號)
- 22. 是否有可能使用Javascript觸發瀏覽器的窗口?
- 23. 如何使用Qt Creator的工具欄中的用戶按鈕的動作/插槽功能連接按鈕單擊信號(「觸發」信號)?
- 24. 更新沒有觸發器生命週期的風帆模型?
- 25. 觸發器可能不會觸發嗎?
- 26. .net性能週期?
- 27. Firebase是否具有直接雲功能觸發器?
- 28. 定時器觸發Azure的功能沒有得到觸發
- 29. Matlab找到信號週期的範圍
- 30. 可能有嵌套觸發器?
昨天有一個很好的答案,來自奧利查爾斯沃斯的僞代碼,但今天卻不見了。除非下面的代碼是對您的問題的答案,請您詳細說明您的意思是「僅啓用一個時鐘週期」。 –