2013-07-15 44 views
2

我有一個觸發器,需要在一個時鐘週期內使能。在這種情況下單週期使能信號的標準做法是什麼?具有單週期使能信號使能的觸發器

在此先感謝

+0

昨天有一個很好的答案,來自奧利查爾斯沃斯的僞代碼,但今天卻不見了。除非下面的代碼是對您的問題的答案,請您詳細說明您的意思是「僅啓用一個時鐘週期」。 –

回答

0

復位後只啓用一個週期的觸發器如下所示;所有信號都是std_logic:

process (clk, rst) is 
begin 
    if rst = '1' then 
    fired <= '0'; 
    elsif rising_edge(clk) then 
    if fired = '0' then 
     q  <= d; 
     fired <= '1'; 
    end if; 
    end if; 
end process; 
+0

謝謝莫滕。我還找到了另一個解決方案我們可以定義一個信號:S_fireed <=發射;如果(s_fired ='0'和fired ='1')即使信號在多於一個時鐘週期內保持='1',只需一個時鐘週期q <= d。 –

相關問題