我正在使用UML狀態圖建模一個進程。下面是一些僞代碼,確定當前狀態: function getAccountState(customer) {
if (authorizationRequired(customer)) {
return State.AUTHORIZATION_REQUIRED
}
if (updateRequired(customer)
這是一個長分區二進制分頻器的模擬。該程序按預期執行,除了不會從寄存器的5個MSB中減去除數,無論我如何編寫它。 以下是代碼: library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;