yosys

    2熱度

    1回答

    我試圖在Arch Linux上將一個比特流文件上傳到iCEblink40-LP1K評估套件iceprog。 sudo iceprog setbit.bin 然後抱怨: Can't find iCE FTDI USB device (vedor_id 0x0403, device_id 0x6010). 而且lsusb只能說明: Bus 001 Device 016: ID 1443:000

    0熱度

    1回答

    我希望有人能幫助我這個... 這是我與yosys第一次遇到。首先,我試圖運行與克利福德在演講中解釋的相同的演示。我下載的演示在以下位置:在ABC https://github.com/cliffordwolf/yosys/tree/master/manual/PRESENTATION_Intro yosys運行喙通過與以下消息: 12. Executing ABC pass (technology

    0熱度

    1回答

    在我使用Yosys編寫的一個設計中,我看到我們可以擁有專用電線(具有專用名稱的電線)。例如,$ 0_s0 [127:0]。所以,我對他們有幾個問題: 爲什麼我們有私人電線YOSYS(什麼情況下)? 它是否總是被轉換爲唯一的名稱。例如,當我轉儲整個設計(使用write_verilog)時,它是否給了我相同的名稱,因爲當我只轉儲包含該專用線的模塊時?

    0熱度

    1回答

    我正在嘗試編寫一個過程,將給定電路中的每個強連接組件放入不同的子模塊中。 所以,我試圖添加一個函數到Yosys中的SCC傳遞,以將每個SCC添加到子模塊中。功能是: void putSelectionIntoParition (RTLIL::Design *design, std::vector<pair<std::string,RTLIL::Selection>>& Select

    3熱度

    1回答

    我目前的iCE40 FPGA項目包括一個8位(軟IP)微處理器,連接到由8個2Kx2塊RAM組成的4Kx8 RAM。能夠將新程序(對於8位微型)加載到4kx8 RAM中而不需要FPGA重新編譯或重新路由將是有用的。建議的流程需要a)分析FPGA網表,以確定8個2Kx2塊RAM(組成4Kx8 RAM)是如何排列和命名的。 b)將包含新程序的英特爾十六進制文件(針對8位微分)拆分爲8個部分。 c)找到

    0熱度

    1回答

    我正在嘗試將Yosys用於我的項目,但我對FSM檢測感到困惑。 我看到這篇文章:FSM export using Yosys 我的問題是關於從Yosys Verilog的文件中檢測的狀態轉換。在上面的鏈接指向的帖子上,我沒有看到從狀態1轉換到狀態3的任何方式;然而,在生成的圖中有。這怎麼樣?提前致謝。

    0熱度

    1回答

    我目前正在爲Makefile中的項目編譯一個比特流。 因爲我用下面的命令生成的非調試版本: yosys -p "synth_ice40 -blif [email protected] -top system" $^ 而且對於調試版本我用: yosys -p "verilog_defaults -add -DDEBUG; synth_ice40 -blif [email protected] -

    2熱度

    1回答

    我的頂級verilog模塊爲myStorm ice40開發板上的四個LED發出信號,標記爲「LED1-LED4」。 module top ( output [4:1] LED ); assign LED = 4'b1010; endmodule 我使用了相同的編號中的.pcf文件: set_io LED[1] 37 set_io LED[2] 38 set_io LED[3]

    1熱度

    1回答

    我有問題使用submod命令分區我的頂級模塊。 我有一個簡單的計數器(我有一個4位計數器的行爲代碼)。在它下面的單元格: yosys> select -list counter counter/$procmux$4_Y counter/$add$counter.v:10$2_Y counter/$0\count[3:0] counter/count counter/en counte

    -1熱度

    1回答

    我們知道YOSYS(YOSYS for win32)可以使用read_verilog _dump_ast命令獲取AST結果,但可以在命令窗口中查看結果視圖。我們如何從命令窗口中將結果作爲文本文件獲取?非常感謝你!