在DE1

2013-10-20 8 views
1

上使用VHDL進行VGA文本顯示我正在Altera DE1上使用VHDL進行算法動畫。在這個項目中,我必須顯示文字以使其更具信息性。我是FPGA新手。但是,我學會了文本顯示如何工作(全部是關於爲每個字符分配內存然後顯示它)。我試圖搜索一些程序來測試並查看文本顯示的實際工作方式。但其中大多數都在不同的董事會。我不想了解實際流量是如何。就像在理解內存和它的工作原理一樣,它並不能幫助我編寫完整的代碼。任何人都可以指向正確的方向或在深度解釋它的實際工作原理嗎?在DE1

在此先感謝!

回答

2

這裏所說的是真實的,但是VGA控制器由幾個部分組成,一個爲VGA信號創建正確的時序,一個存儲器,控制器從中獲取數據並顯示在屏幕上,字符映射(字體)和控制器讀取每個字符的映射並將它們放置在屏幕上的正確位置。 Character data generation

在這裏,你有方框圖的一個更高的水平,以前的塊將取代該塊市場上贏得的「算法測試圖形發生器」

enter image description here

你可以看看的例子上Digilinet網站:

VGA controller reference design

下載它,所以它適合你的需要修改它。這只是爲了讓你瞭解你想要做的事情所需的塊。如果您只想顯示相同的字符,可以變得更簡單,如果您想要爲您的設計創建基於字符的圖形控制器,則會變得更加複雜。

3

如果您還沒有檢查過,請務必瀏覽OpenCores。它看起來像有幾個VGA內核,一些只有文本,一些有文本和自定義圖形。您可能需要註冊才能查看/下載,但免費且簡單。

此外,許多項目都與製造商無關,因此可以非常直接地集成到您的代碼中。