vga

    0熱度

    1回答

    我在System Verilog的FPGA上寫了一個小遊戲,我想通過VGA顯示器顯示一些小圖片。我的圖片尺寸是35px X 20px。我通過使用Matlab將圖片轉換成三個單獨的數組(R,G和B值)。我不知道如何在System Verilog中創建類似的數組,然後再調用它們中的元素。下面是我現在的代碼,我已經做了白點和紅點。我想用上面提到的圖片替換這些點。謝謝! begin:RGB_Display

    0熱度

    1回答

    所以基本上我希望我的監視器顯示負斜率線。目前的代碼,我寫了顯示任何正斜線完美但是如果我試圖將其變爲負數,它不會出現或變成一片。 我爲什麼它不工作,但如果任何人都可以在正確的方向,將不勝感激指向我一個模糊的概念。 (注:我的VGA驅動程序工作正常,還有VGAWrapper) 這是代碼: module vga_rgb( input logic [8:0] row_o, input l

    3熱度

    1回答

    我用這個代碼查找顯卡: ManagementObjectSearcher searcher = new ManagementObjectSearcher("SELECT * FROM Win32_DisplayConfiguration"); string graphicsCard = ""; foreach (ManagementObject mo in searcher.

    0熱度

    1回答

    我有CMOS相機捕捉圖像,我想在VGA顯示器上顯示捕捉的圖像。我正在從CMOS攝像機接收格式爲RGB 565的數據,如RRRGGGBBB。我有VGA接口RGB(3)pins的FPGA板我們是否將RGB 565轉換爲單個位RGB?

    -3熱度

    1回答

    我有點困惑,「適配器」和「陣列」之間有什麼區別。一些面向圖形的表達式包括第一個和第二個。如: 在VGA它的數組: https://en.wikipedia.org/wiki/Video_Graphics_Array 在CGA它的適配器: https://en.wikipedia.org/wiki/Color_Graphics_Adapter 當我看到某處 「視頻圖形適配器」 是它濫用? 你能解釋一

    -1熱度

    1回答

    我有一個簡單的功能使用DJGPP和256的VGA DOS框繪製在C聯彙編像素: byte *VGA = (byte *)0xA0000; void plot_pixel(int x, int y, byte color){ int offset; if(x>32 && x <=320 && y>0 && y<180){ //x=x-1; //y=y-1;

    -1熱度

    1回答

    我有一個FPGA學校項目,我有一些問題。我們使用Digilent的Nexys2板(Xilinx XC3S500E FPGA)。 我們用一個幀緩衝器構建了一些包括VGA(640x480)的控制器。至少我們稱它爲幀緩衝 - 實際上它是一個分佈式的30x40 RAM,用於存儲要顯示的像素(1位 - 「一個方塊」,所以我們實際上具有30乘40分辨率,無顏色)。然後,我們使用了8位Picoblaze微控制器

    0熱度

    1回答

    我在我的Basys3 FPGA上使用VGA,我當前想繪製一個帶板,其方程爲(1 + cos(k * r^2))/ 2,其中r是距板中心的距離,k = 2 * pi/lambda是波數,它決定了板的比例。我猜測最好的方法是使用餘弦LUT,但我真的不知道如何創建一個。我有點理解它背後的想法,但我不知道如何寫一個它應該包含什麼值。 這是我想測試一下代碼: 與此唯一的問題是現在,我不知道是什麼值來填充me

    -2熱度

    1回答

    我正在尋找一種「VGA採集卡for linux」,它可以捕獲遠程計算機的VGA輸入,以便我可以使用V4L2來捕獲供稿。請建議一些。

    0熱度

    1回答

    我有一個關於如何讀取位圖頭文件的簡單問題。 我有位圖陣列是這樣的: typedef unsigned char byte; char bitmap[(188*180)+1024+54]; //The image size is 188*180 + the header file of 54 bytes+ the pallete table´s 1024 bytes I'm根據BMP頭指定的值