我想在SVA中編寫一個屬性來正式驗證行爲。如何在System verilog聲明中編寫屬性?
這裏是我想:
property prop1(sig1,sig2,sig3,sig4);
@(posedge clk)
$fell(sig1) ##[1:$] first_match($fell(sig2)) ##0 sig3 |-> sig4 == sig3;
endproperty
我怎樣才能把上面的屬性,以便SIG1下降之後,它保持剩餘的評估週期中低?
注:我不想把SIG1爲disable IFF(SIG1)
謝謝!
是「SIG1下降之後,它保持剩餘的評估週期中低」的_precondition_或_condition_的一部分嗎?換句話說,你想檢查sig4 == sig3 _if_ sig1是否保持低電平,或者你想檢查sig1保持低電平以及檢查sig4 = sig3嗎? –