2017-05-29 41 views
-1

我正在設計一個三重模塊化冗餘處理器(TMR)系統,用於在Altera DE10lite FPGA板上進行綜合。其目的是爲了演示在各種故障下計算的可靠性。我需要關於如何連接三個外部晶體振盪器(而不是板上晶振)的建議,以及相同的額定值來驅動FPGA內的三個處理器。我將使用同步投票方案來同步所有三個信號。這項任務可以完成嗎? Clock distribution triplication用於FPGA的外部晶體振盪器的連接

我已閱讀以下描述使用PLL的相關鏈接是否正確? https://www.altera.com/documentation/mcn1395213337540.html#mcn1395213788377

+0

我投票結束這個問題作爲題外話,因爲沒有提供代碼或任何顯示的嘗試由提問者解決。 – Rob

+0

原因是這是一個複雜的過程,我相信,並沒有在我的任何設計之前完成這項工作 – KRF

+0

這是[電氣工程協議棧交換](https://electronics.stackexchange.com /)... – JHBonarius

回答

0

不,這不太可能奏效。

如果您使用單獨的晶體運行每個軟CPU,由於晶體之間頻率的輕微變化,它們會偏離同步。

如果您嘗試使用大多數投票方案從三個輸入時鐘創建單個時鐘信號,最終會出現一個非常奇怪的不規則時鐘信號,這可能會導致由其驅動的邏輯出現故障。

一次使用一個時鐘源。如果您確信需要抵制外部時鐘的故障,請考慮採用某種方式來檢測當前時鐘的故障並切換到另一個時鐘。 (請記住,這個邏輯將需要仍然工作,沒有功能時鐘...這可能是困難的)。

+0

...除非您實施定期同步方案 - 某個提問者想要做的事 - 。異步冗餘系統經常用於高風險高影響系統(如核電廠)。你總是需要某種形式的同步才能做出多數票。 – JHBonarius

+0

同步投票是一個反饋程序,用於處理漂移並將三個信號保持在同一執行時間段內。如果以2/3的方式存在顯着的漂移,則投票將補償最慢的信號。 – KRF