我定義我的狀態如下:國STD_LOGIC
type state_type is (s0, s1, s2, s3);
signal state : state_type;
現在我想用這種狀態信息,形成另一個信號
signal data : std_logic_vector(3 downto 0);
signal data_plus_state : std_logic_vector(5 downto 0);
....
data_plus_state <= data & state;
有誰知道我能演唱會狀態進入一個std_logic_vector,這樣我可以連接這兩個信號?
非常感謝, 羅布
屬於上ChipHacker,但如此設置的選項。糟糕! – leppie 2010-10-15 13:30:20