2015-10-23 43 views
0

我試圖在日食上使用帶有教育許可證的Sigasi插件來編程非常基本的VHDL - 目標是,我可以編程一個簡單的實體和一個測試臺爲此,然後在Xilinx的ISim中進行編譯和仿真。激活/在Eclipse上使用ISim工具鏈(VHDL)

我已經安裝了Eclipse,Sigasi &賽靈思ISE的WebPack,並試圖添加ISIM工具鏈在Sigasi網站here

看起來還好Eclipse作爲顯示,但它說我需要「激活」工具鏈(如下圖所示) first window

但是,當我按照「點擊這裏激活現在」,我被帶到了下面的scree - 從中​​沒有任何反應。如果我點擊Apply,沒有任何反應,沒有其他選擇!

second window

我的問題 - 實際上,我怎麼配置ISIM從Eclipse的推出,就是我想要做的其實可能還是有我誤解了,如果是這樣,我怎麼做。

非常感謝您的幫助!

大衛

+0

更多細節看看Sigasi的[工具集成(http://doc.sigasi.com/manual/8_tools.html)集成頁面 - *有些工具鏈,你可以在[配置外部編譯器](http://doc.sigasi.com/manual/8_tools.html),第二個[image](http://i.stack.imgur.com/EMkh3)下指定額外的命令行參數*。 PNG)顯示Sigasi偏好。 – user1155120

+0

但是我使用Xilinx工具鏈(或者也嘗試使用),並且沒有選項向其添加額外的命令行參數...? (如問題中的第一張圖所示) – davidhood2

+0

還有Sigasi ** Run ** - > **外部工具** - > **外部工具配置**它允許您允許您配置和運行程序,批處理文件,Ant構建文件和使用Workbench的其他人。您可以保存這些外部工具配置並在稍後運行。*(來自Sigasi幫助)。您可以將任何內容放入批處理文件中,並選擇它來運行,組織批處理文件顯示的順序,... – user1155120

回答

1

當您啓用ISIM工具鏈,Sigasi會自動編譯您的文件與ISIM當您保存文件。您可以在控制檯視圖中檢查輸出

要開始模擬,您需要先設置頂層。您可以在層級視圖中執行此操作。接下來,點擊模擬按鈕。

您可以找到Sigasi Studio manual